skip to main content
10.1145/3177540.3178237acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article
Public Access

Interconnect Optimization Considering Multiple Critical Paths

Published:25 March 2018Publication History

ABSTRACT

Interconnect optimization, including buffer insertion and Steiner tree construction, continues to be a pillar technology that largely determines overall chip performance. Buffer insertion algorithms in published literature are mostly focused on optimizing only the most critical path. This is a sensible approach for the first order effect. As people strive to squeeze out more performance in the post Moore's law era, the timing of near critical paths is worth considering as well. In this work, a p-norm based Figure Of Merit (pFOM) is proposed to account for both the critical and near critical path timing. Accordingly, a pFOM-driven buffer insertion method is developed. Further, the interaction with timing driven Steiner tree is investigated. The proposed techniques are validated in an industrial design flow and the results confirm their advantages.

References

  1. C. J. Alpert, G. Gandham, M. Hrkic, J. Hu, A. B. Kahng, J. Lillis, B. Liu, S. T. Quay, S. S. Sapatnekar, and A. J. Sullivan. 2002. Buffered Steiner trees for difficult instances. IEEE Transactions on Computer-Aided Design Vol. 21, 1 (Jan. 2002), 3--14.Google ScholarGoogle Scholar
  2. C. J. Alpert, J. Hu, S. S. Sapatnekar, and C. N. Sze. 2006. Accurate estimation of global buffer delay within a floorplan. IEEE Transactions on Computer-Aided Design Vol. 25, 6 (June. 2006), 1140--1145. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. C. J. Alpert, T. C. Hu, J. H. Huang, A. B. Kahng, and D. Karger. 1995. Prim-Dijkstra tradeoffs for improved performance-driven routing tree design. IEEE Transactions on Computer-Aided Design Vol. 14, 7 (July. 1995), 890--896. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. C. Bartoschek, S. Held, D. Rautenbach, and J. Vygen. 2006. Efficient generation of short and fast repeater tree topologies Proceedings of the ACM International Symposium on Physical Design. 20--27. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. K. D. Boese, A. B. Kahng, B. A. McCoy, and G. Robins. 1995. Near-optimal critical sink routing tree constructions. IEEE Transactions on Computer-Aided Design Vol. 14, 12 (Dec.. 1995), 1417--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. C. P. Chen, H. Zhou, and D. F. Wong. 1996. Optimal non-uniform wire-sizing under the Elmore delay model Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 38--43. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. Cong and K.-S. Leung. 1995. Optimal wiresizing under the distributed Elmore delay model. IEEE Transactions on Computer-Aided Design Vol. 14, 3 (March. 1995), 321--336. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. H. Hou, J. Hu, and S. S. Sapatnekar. 1999. Non-Hanan routing. IEEE Transactions on Computer-Aided Design Vol. 18, 4 (April. 1999), 436--444. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. Hrkic and J. Lillis. 2002. S-Tree: A technique for buffered routing tree synthesis Proceedings of the ACM/IEEE Design Automation Conference. 578--583. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. M. Hrkic and J. Lillis. 2003. Buffer tree synthesis with consideration of temporal locality, sink polarity requirements, solution cost, congestion and blockages. IEEE Transactions on Computer-Aided Design Vol. 22, 4 (April. 2003), 481--491. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. Lillis, C. K. Cheng, T. T. Lin, and C. Y. Ho. 1996 c. New performance driven routing techniques with explicit area/delay tradeoff and simultaneous wire sizing. In Proceedings of the ACM/IEEE Design Automation Conference. 395--400. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Lillis, C. K. Cheng, and T. Y. Lin. 1996 a. Optimal wire sizing and buffer insertion for low power and a generalized delay model. IEEE Journal of Solid-State Circuits Vol. 31, 3 (March. 1996), 437--447.Google ScholarGoogle ScholarCross RefCross Ref
  13. J. Lillis, C. K. Cheng, and T. Y. Lin. 1996 b. Simultaneous routing and buffer insertion for high performance interconnect Proceedings of the Great Lake Symposium on VLSI. 148--153. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. T. Okamoto and J. Cong. 1996. Interconnect layout optimization by simultaneous Steiner tree construction and buffer insertion. In ACM Physical Design Workshop. 1--6.Google ScholarGoogle Scholar
  15. P. J. Osler. 2004. Placement driven synthesis case studies on two sets of two chips: hierarchical and flat. In Proceedings of the ACM International Symposium on Physical Design. 190--197. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. M. Ozdal, S. Burns, and J. Hu. 2011. Gate sizing and device technology selection algorithms for high-performance industrial designs. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 724--731. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Y. Peng and X. Liu. 2005. Freeze: engineering a fast repeater insertion solver for power minimization using the ellipsoid method. In Proceedings of the ACM/IEEE Design Automation Conference. 813--818. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. W. Shi and Z. Li. 2005. A fast algorithm for optimal buffer insertion. IEEE Transactions on Computer-Aided Design Vol. 24, 6 (June. 2005), 879--891. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. L. P. P. P. van Ginneken. 1990. Buffer placement in distributed RC-tree networks for minimal Elmore delay Proceedings of the IEEE International Symposium on Circuits and Systems. 865--868.Google ScholarGoogle Scholar

Index Terms

  1. Interconnect Optimization Considering Multiple Critical Paths

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISPD '18: Proceedings of the 2018 International Symposium on Physical Design
        March 2018
        178 pages
        ISBN:9781450356268
        DOI:10.1145/3177540

        Copyright © 2018 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 25 March 2018

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate62of172submissions,36%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader